Document Type : Review Article

Authors

sadjad institue of higher education, mashhad

Abstract

Three new SRAM cells are proposed in this paper. Increasing area overhead is the major concern in SRAM design. One of the new structures is included four transistors instead of six transistors as it is used in conventional 6T-SRAM cell for very high density embedded SRAM applications. The structure of proposed SRAM employs one word-line and one bit-line during Read/Write operation. The new SRAM cell has smaller size, leakage current and power dissipation in contrast of a conventional six transistor SRAMs. A proposed 4T-SRAM cell has been simulated for 256 cells per bit-line and 128 columns cell for supply voltage of 1.2V. Furthermore, two other new structures are included 10 and 11 transistors. These new structures have been separate read and write process by changing in the structure of conventional 6T SRAM to achieve high Static Noise Margin (SNM). Using 10T and 11T SRAM cells lead to apply 512 cells per bit-line by reducing leakage current technique, while the cell is unavailable. 128 columns cell array has been built to measure the operation of SRAM cell. To have low power dissipation, the supply voltage for 10T and 11T are chosen 0.32V and 0.27V, respectively. Proposed SRAM uses one read bit-line during read operation. Simulation results have been confirmed by HSPICE in 0.13um process. 

Keywords

[1] Andrei Pavlov and Manoj Sachdev, “CMOS SRAM Circuit Design and Parametric Test in Nano-Scaled Technologies”, Springer, 2008.
[2] Jan.M.Rabaey, Anantha.P.Chandrakasan, and Borivoje Nikolic,“Digital Integrated Circuits”, PHI, 2003.
[3] D. Hentrich, E. Oruklu, and J. Saniie, “Performance Evaluation of SRAM Cells in 22nm Predictive CMOS Technology,” in IEEE, pp. 470–475, 2009.
[4] J. Chen, L. Clark, and T.-H. Chen, “An ultra-low-power memory with a subthreshold power supply voltage,” IEEE J. Solid-State Circuits, vol. 41, no. 10, pp. 2344–2353, Oct. 2006.
[5] N. Verma and A. Chandrakasan, “A 256 Kb 65 nm 8T Subthreshold SRAM Employing Sense-Amplifier Redundancy,” IEEE Journal of Solid-State Circuits, Vol.43, No.1, pp. 141-149, Jan. 2008.
[6] J. Kim, K. Kim, and C. Chuang, “Back-gate controlled READ SRAM with improved stability,” in Proc. IEEE Int. SOI Conf., Oct. 2005, pp. 211–212.
[7] T. Kim, J. Liu, J. keane and C. H. Kim, “A 0.2 V, 480 kb Subthreshold SRAM With 1k Cells Per Bitline for Ultra-Low-Voltage Computing,” IEEE Journal of Solid-State Circuits, Vol.43, No.2, pp. 518-529, Feb. 2008.
[8] B.H. Calhoun and A.P. Chandrakasan, “A 256-kb 65-nm Subthreshold SRAM Design for Ultra-Low-Voltage Operation”, in Solid-State Circuits, IEEE Journal of, Vol 42, No 3, pp. 680 – 688, March 2007.
[9] S. Mukhopadhyay, et al.,”Modeling and Estimation of Failure Probability due to Parametric Variations in Nano-scale SRAMs for Yield Enhancement”, IEEE Symposium on VLSI Circuits, Digest of Technical Papers, pp. 64 – 6,2004.
[10] I. Chang, J. Kim, S. Park and K. Roy, “A 32 kb 10T Sub-Threshold SRAM Array With Bit-Interleaving and Differential Read Scheme in 90 nm CMOS,” IEEE Journal of SolidState Circuits, Vol. 44, No. 2, pp. 650-658, Feb. 2009.